返回上一页  首页 | cnbeta报时: 10:25:45
英特尔3D堆叠式CMOS晶体管将背面电源和直接背面接触相结合
发布日期:2023-12-10 17:33:17  稿源:cnBeta.COM

在 2023 年 IEEE 国际电子器件会议(IEDM)上,英特尔研究人员展示了三维堆叠 CMOS(互补金属氧化物半导体)晶体管与背面电源和直接背面接触相结合的先进技术。该公司还报告了最近研发突破的背面功率传输(如背面触点)的扩展路径,并首次在同一300毫米(mm)晶圆(而非封装)上成功演示了硅晶体管与氮化镓(GaN)晶体管的大规模三维单片集成。

"随着我们进入埃米时代,并在四年内走过五个工艺节点,持续创新比以往任何时候都更加重要。在IEDM 2023上,英特尔展示了其在研究方面取得的进展,这些进展推动了摩尔定律的发展,凸显了我们有能力为下一代移动计算带来领先的技术,从而实现进一步扩展和高效的功率交付。"

英特尔高级副总裁兼元器件研究部总经理桑杰-纳塔拉詹(Sanjay Natarajan)

为何重要?晶体管扩展和背面功率是帮助满足对更强大计算能力的指数级增长需求的关键。年复一年,英特尔满足了这一计算需求,表明其创新将继续推动半导体行业的发展,并继续成为摩尔定律的基石。英特尔的元件研究小组通过堆叠晶体管不断突破工程极限,将背面功率提升到新的水平,从而实现更多的晶体管扩展和更高的性能,并证明不同材料制成的晶体管可以集成在同一晶圆上。

Intel-Accelerated-BackSide-Power_OldNew.jpg

左图显示的是电源线和信号线在晶圆顶部混合在一起的设计。右图显示的是新的 PowerVia 技术,这是英特尔在业界首次采用的独特的背面电源传输网络。PowerVia 是在 2021 年 7 月 26 日举行的"英特尔加速"活动上推出的。在这次活动中,英特尔展示了公司未来的工艺和封装技术路线图。(图片来源:英特尔公司)

最近公布的工艺技术路线图强调了公司在持续扩展方面的创新,包括PowerVia背面电源、用于高级封装的玻璃基板和Foveros Direct,这些技术都源于元器件研究部门,预计将在本十年内投入生产。

Intel-PowerVia-Fmax.png

在 IEDM 2023 上,英特尔元件研究部展示了其致力于创新的决心,即在硅片上安装更多晶体管,同时实现更高的性能。研究人员已经确定了通过有效堆叠晶体管继续扩大规模所需的关键研发领域。结合背面电源和背面触点,这些将是晶体管架构技术的重大进步。在改进背面电源传输和采用新型二维沟道材料的同时,英特尔正致力于到 2030 年将摩尔定律扩展到一万亿个晶体管封装。

英特尔在 IEDM 2023 上展示的最新晶体管研究成果能够以低至 60 纳米的栅极间距垂直堆叠互补场效应晶体管 (CFET)。通过堆叠晶体管,可实现面积效率和性能优势。它还与背面电源和直接背面接触相结合。它彰显了英特尔在全栅极晶体管领域的领先地位,展示了公司超越 RibbonFET 的创新能力,使其在竞争中处于领先地位。

英特尔在四年内走过了五个工艺节点,并确定了所需的关键研发领域,以继续扩展具有背面功率传输功能的晶体管:英特尔的PowerVia将于2024年完成制造,这将是首次实现背面功率传输。在 IEDM 2023 上,元器件研究部确定了在 PowerVia 之后扩展和扩大背面功率传输的途径,以及实现这些途径所需的关键工艺进步。此外,这项工作还强调了背面触点和其他新型垂直互连的使用,以实现面积效率高的器件堆叠。

查看网友评论   返回完整版观看

返回上一页  首页 | cnbeta报时: 10:25:45

文字版  标准版  电脑端

© 2003-2024