返回上一页  首页 | cnbeta报时: 01:31:08
单价4亿美元的光刻机 ASML又卖了一台
发布日期:2024-04-18 21:56:19  稿源:半导体芯闻

ASML 周三表示,它已开始向另一家客户运送其第二套高数值孔径 EUV 光刻系统。该公告凸显了领先芯片制造商对下一代极紫外(EUV)光刻技术的浓厚兴趣。与此同时,尚不清楚 ASML 的哪家客户是第二家获得具有 0.55 数值孔径投影光学器件的 EUV 工具的公司。

ASML 首席商务官 Christophe Fouquet 在该公司与分析师和投资者举行的财报电话会议上表示:“关于 High-NA(即 0.55 NA EUV),我们向客户交付了第一个系统,该系统目前正在安装中。” “我们本月开始发货第二个系统,安装也即将开始。”

ASML 于 2023 年底开始向英特尔交付其首款高数值孔径 EUV 光刻工具 ——Twinscan EXE:5000。英特尔将使用该系统来学习如何使用此类机器,并将将该系统与英特尔一起投入14A 制造工艺的大规模生产。这还需要几年时间。通过尽早开始研究基于高数值孔径 EUV 的工艺技术,英特尔将能够制定下一代光刻的行业标准,这有望在未来几年成为竞争优势。

ASML方面表示:“在 2 月份的 SPIE 行业会议上,我们首次宣布了位于 Veldhoven 的 ASML-Imec High-NA 联合实验室中的 High-NA 系统的亮相。” “此后,我们获得了第一张图像,分辨率低于 10 纳米,创下了新纪录,并预计在未来几周内开始曝光晶圆。所有高数值孔径客户都将使用该系统来尽早进行工艺开发。”

虽然台积电和Rapidus似乎并不急于采用高数值孔径EUV光刻系统进行量产,但他们仍然必须在未来的某个时候这样做,这就是为什么ASML对这项技术的未来持乐观态度。事实上,全球最大的晶圆厂工具制造商正在探索 Hyper-NA、EUV 光刻工具,其投影光学器件的数值孔径高于 0.7。

“客户对我们的 [高数值孔径] 系统实验室的兴趣很高,因为该系统将帮助我们的逻辑和内存客户为将高 NA 插入他们的路线图做好准备,”Fouquet 说。“相对于 0.33 NA,0.55 NA 系统提供了更精细的分辨率,在相似的生产率下,晶体管密度几乎增加了 3 倍,支持低于 2 纳米的逻辑和低于 10 纳米的 DRAM 节点。”

近日,ASML 宣布其首款具有 0.55 数值孔径 ( High-NA ) 投影光学器件的极紫外 (EUV) 光刻工具已打印出第一个图案。该公告对于 ASML 和高数值孔径 EUV 光刻技术来说都是一个重要的里程碑。

ASML 在一份声明中写道:“我们位于 Veldhoven 的高数值孔径 EUV 系统打印了有史以来第一条 10 纳米密集线。” “成像是在光学器件、传感器和平台完成粗略校准后完成的。下一步:使系统充分发挥性能。并在现场取得相同的结果。”


ASML 似乎是第一家宣布使用高数值孔径 EUV 光刻系统成功图案化的公司,这对于整个半导体行业来说是一个重要的里程碑。ASML 将仅将其 Twinscan EXE:5000 用于自己的开发和完善自己的技术。

相比之下,英特尔将使用其 Twinscan EXE:5000 来学习如何使用高数值孔径 EUV 光刻技术来批量生产芯片。英特尔将通过其英特尔18A(1.8纳米级)工艺技术将该工具用于研发目的,并计划部署下一代Twinscan EXE:5200扫描仪在其14A(1.4纳米级)生产节点上制造芯片。

ASML 的 Twinscan EXE:5200 配备 0.55 NA 镜头,设计用于打印 8 纳米分辨率的芯片,这比当前 EUV 工具的 13 纳米分辨率有了显着改进。与低数值孔径工具相比,该技术可通过单次曝光打印尺寸小 1.7 倍的晶体管,并实现高 2.9 倍的晶体管密度 。

尽管低数值孔径系统可以匹配此分辨率,但它们必须使用昂贵的双图案技术。实现 8 纳米对于制造 3 纳米以下工艺芯片至关重要,这些芯片预计将于 2025 年至 2026 年问世。高数值孔径 EUV 技术的引入将消除对 EUV 双图案化的需求,从而简化生产流程,潜在地提高产量并降低成本。然而,每个高数值孔径工具的成本高达 4 亿美元,并带来了众多挑战,这使得向领先工艺技术的过渡变得复杂(将在本世纪下半叶发生)。

查看网友评论   返回完整版观看

返回上一页  首页 | cnbeta报时: 01:31:08

文字版  标准版  电脑端

© 2003-2024